Exhibitor NameLevelBooth
KeynoteSponsor
Arm technology is defining the future of computing. Our energy-efficient processor designs and software platforms have enabled advanced computing in more than 250 billion chips and our technologies securely power products from the sensor to the smartphone and the supercomputer. Together with 1,000+ technology partners, we are enabling artificial intelligence to work everywhere, and in cybersecurity, we are delivering the foundation for trust in the digital world – from chip to cloud. The future is being built on Arm.
KeynoteSponsor
Teradyne test technology helps bring high-quality innovations such as smart devices, life-saving medical equipment and data storage systems to market, faster. Its advanced test solutions for semiconductors, electronic systems, wireless devices and more ensure that products perform as they were designed. Its robotics offerings include collaborative and mobile robots that help manufacturers of all sizes increase productivity, improve safety, and lower costs. In 2022, Teradyne had revenue of $3.2 billion and today employs over 6,500 people worldwide. For more information, visit teradyne.com.
KeynoteBooth: 500
Alphawave Semi is a global leader in high-speed connectivity for the world’s technology infrastructure. To find out more about Alphawave Semi, visit: awavesemi.com.
Collateral from Alphawave Semi
Alphawave Semi Scales UCIe™ to 64 Gbps
Description: Alphawave Semi Scales UCIe™ to 64 Gbps Enabling >20 Tbps/mm Bandwidth Density for Die-to-Die Chiplet Connectivity
Visit Link with the details
Arm Compute Chiplet
Description: High-performance Arm® Neoverse™ Compute Cluster – high-performance compute chiplet for artificial intelligence/machine learning (AI/ML), high-performance compute (HPC), data center and 5G/6G networking infrastructure applications
Visit Link with the details
Building the Future: Next-Generation Terabit AI Networks
Description: Building the Future: Next-Generation Terabit AI Networks with the Industry’s First Multi-Protocol I/O Connectivity Chiplet
Visit Link with the details
KeynoteBooth: 400
Catalyzing the era of pervasive intelligence, Synopsys delivers trusted and comprehensive silicon to systems design solutions, from electronic design automation to silicon IP and system verification and validation. We partner closely with semiconductor and systems customers across a wide range of industries to maximize their R&D capability and productivity, powering innovation today that ignites the ingenuity of tomorrow. Learn more at www.synopsys.com.
Collateral from Synopsys
Effective Monitoring, Test, and Repair of Multi-Die Designs
Description: Find out how to overcome system health challenges with Synopsys Test and Silicon Lifecycle Management Solutions.
Visit Link with the details
Synopsys Multi-Die Solution
Description: As a trusted partner, Synopsys is driving the industry transformation to multi-die designs with a comprehensive and scalable solution for fast heterogeneous integration.
Visit Link with the details
KeynoteBooth: 204
The Open Compute Project (OCP) is a global collaborative Community working with the product and solution vendor ecosystem to develop open innovations deployable from the cloud to the edge. The OCP Foundation is responsible for meeting the market and shaping the future, taking hyperscale-led innovations to everyone. Meeting the market is accomplished through addressing challenging market obstacles with open specifications, designs and data center facility best practices. Shaping the future includes investing in strategic initiatives and programs that prepare the IT ecosystem for major technology changes. OCP Community-developed open innovations strive to benefit all, optimized through the lens of impact, efficiency, scale and sustainability.
KeynoteBooth: 300
Cadence Silicon Solutions leverage decades of experience in IP and subsystems to power your advanced designs. The Cadence Silicon Solutions Portfolio includes silicon-proven Tensilica and Neo AI IP cores, advanced memory interfaces, and high-speed SerDes that are all based on industry-standard protocols. To achieve first-time silicon success, let Cadence help you choose the right IP, subsystem, or silicon solution and capture its full value in your design. Now you can tackle IP-to-SoC development in a system context, focus your internal effort on differentiation, and leverage multi-function cores to do more, faster. Choosing Cadence Silicon Solutions enables you to design with confidence because you have more freedom to innovate your designs with less risk
GoldBooth: 407
PRIMEMAS is a fabless semiconductor company based in Santa Clara, California, bringing to market a pre-built SoC Hub Chiplet (Hublet) to streamline the existing development and monolithic manufacturing process while reducing the cost and time for custom design and production to one-tenth of traditional methods. PRIMEMAS is the only supplier to have CXL3.x based SoC solution for the next generation computing system architecture and targeting CXL, AI, crypto, and data center applications.
GoldBooth: 306
Silicon Catalyst is the world’s only incubator + accelerator focused on semiconductor solutions, including Photonics, MEMS, sensors, IP, materials and Life Science. We accelerate startups from idea through prototype, and onto a path to volume production. We have engaged with more than 1,000 semiconductor startups worldwide and have admitted over 100 exciting companies. In addition, our UK government funded early-stage incubator launched its first cohort of 12 startups on October 1, 2023.
GoldBooth: 407
Achronix is a global leader in FPGA-based hardware solutions, enabling unmatched acceleration for AI, networking, and data-intensive workloads. Products include the Speedster®7t FPGA family, Speedcore™ eFPGA IP, and VectorPath® accelerator cards, all supported by Achronix ACE software tools. Founded in 2004, Achronix has a proven track record of innovation and market leadership in the semiconductor industry. For more information, please visit www.achronix.com
GoldBooth: 406
Deca was born of a passion to transform the way the world builds advanced electronic devices. In our first decade, our 10X thinking brought to life exciting breakthroughs for leading mobile semiconductor companies. From initial applications in traditional semiconductor packaging, to the growth of chiplets and heterogeneous integration, we have created key foundational building blocks for the future.
GoldBooth: 207
At NHanced Semiconductors, we pioneered advanced interconnect technologies like 3DICs, silicon interposers, chiplets, additive semiconductor manufacturing, and more. Our entire organization is located in the U.S.A. We collaborate with customers, tailoring our processes to suit the needs of their advanced device designs and bring them into volume production. We call our novel manufacturing model Foundry 2.0.
GoldBooth: 506
Chroma ATE is a world-leading designer and manufacturer of complete turn-key, IC thermal management and automated IC Handling solutions. Specializing in integrated and fully automated turn-key electronic test and MES solutions for the semiconductor, front and back-end test spaces. Chroma is driven to provide unique, tailored solutions, and technical support to help our US-based customers excel in today's high demanding environment.
GoldSponsor
We are the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. For more than 55 years, our innovations have improved the lives of billions of people around the globe who may never know us by name, but who rely on us every day as they interact with technology.
GoldBooth: 307
Keysight EDA is a world-class software engineering organization with deep roots in the EDA, semiconductor, and test industries. Our software experts conduct advanced R&D to architect high-performance design and simulation solutions that meet the most demanding needs of engineers developing silicon, boards, and systems. Keysight EDA’s silicon-to-system solutions are used by customers in wireless and wired communications and aerospace and defense markets in a wide variety of RF and microwave and high-speed digital applications.
ExhibitorBooth: 321
Monozukuri envisions, develops and delivers software automated solutions that facilitate the design and optimize connections in complex, heterogeneous IC systems.
ExhibitorBooth: 509
proteanTecs is the leading provider of deep data analytics for advanced electronics monitoring. Trusted by global leaders in the datacenter, automotive, communications and mobile markets, the company provides system health and performance monitoring, from production to the field. By applying machine learning to novel data created by on-chip monitors, the company's deep data analytics solutions deliver unparalleled visibility and actionable insights—leading to new levels of quality and reliability. Founded in 2017 and backed by world-leading investors, the company is headquartered in Israel and has offices in the United States, India and Taiwan. For more information, visit www.proteanTecs.com.
ExhibitorBooth: 423
ExhibitorBooth: 318
NTLab is a private company and one of the largest analog/RF IC design centers in Europe. Company has 70+ design engineers and proven capacity of 10+ tape outs yearly. We offer IP design services and turnkey ASIC design, both supported with test PCBs and related software, to make samples testing process easy for the customer. From IPs (PLL, ADC, Process-Voltage-Temperature sensors…) to sophisticated multi-channel analog/RF front-end ASICs with frequencies up to 12 GHz, NTLab is considered by customers to be a reliable partner committing to true quality and ultimate chip workability. We successfully provide customers with a wide range of services in design – from semiconductor chips to radioelectronic systems.
ExhibitorBooth: 418
Shin-Etsu MicroSi is a driving force in both the semiconductor and microelectronics industries worldwide. By infusing science and chemistry with innovation and collaboration, we create leading-edge solutions that turn possibilities into realities–while providing the proven quality and time-tested dependability on which our customers rely. From computers to cell phones, 5G, automobiles, coatings, and more, our products are used by companies throughout the world to make the integrated circuits and semiconductor devices that power everyday living. In addition to our superior customer satisfaction, our dedication to research, discovery, quality, and dependability is unparalleled.
ExhibitorBooth: 315
Sarcina Technology is a semiconductor packaging and testing turnkey provider based in Palo Alto, California, with a design and supply chain management office in Taipei. The company serves leading U.S. and European firms with package design, power/signal integrity analysis, and thermal simulation. Additionally, Sarcina offers wafer probing, final test hardware design, test program development, device/package qualification, and comprehensive turnkey services. Sarcina has earned its reputation by delivering innovative, dependable, and proven solutions to customers worldwide.
ExhibitorBooth: 416
Silicon Creations is a self-funded, leading silicon IP provider with offices in the US and Poland, and sales representation worldwide. The company provides world-class silicon intellectual property (IP) for precision and general-purpose timing (PLLs), oscillators, low-power, high-performance multi-protocol and targeted SerDes and high-speed differential I/Os for diverse applications including smart phones, wearables, consumer devices, processors, network devices, automotive, IoT, and medical devices. Silicon Creations' IP is proven and/or in high-volume mass production in process technologies up to the most advanced available in the industry.
ExhibitorSponsor
Yole Group is an international company recognized for its expertise in the analysis of markets, technological developments, and supply chains, as well as the strategy of key players in the semiconductor, photonics, and electronics sectors. With Yole Intelligence and Yole SystemPlus, the group publishes market, technology, performance, reverse engineering and costing analyses and provides consulting services in strategic marketing and technology analysis. The Yole Group Finance division also offers due diligence assistance and supports companies with mergers and acquisitions. Yole Group benefits from an international sales network. The company now employs more than 180+ people. More information on www.yolegroup.com.
ExhibitorBooth: 422
Innovation begins with Us! Technoprobe (XMIL: TPRO) is a leading provider of the most advanced wafer test solutions for the semiconductor industry. The company specializes in design, development, and manufacturing of leading-edge wafer probe cards. Our mission is to be a strategic partner and to be vital to our customers’ overall success. Technoprobe offers a comprehensive product portfolio capable of meeting complex testing requirements and reducing the overall cost of test. Our culture to drive Innovation leads us to explore new concepts, to push Technology beyond its limits, and to use customization as a guiding principle to enable the next generation products. Visit us at www.technoprobe.com for more information.
ExhibitorBooth: 419
Our fundamental innovations shape the way millions of people explore and experience entertainment and enhance billions of devices in an increasingly connected world. From TVs to smartphones, in almost any place you can think of, from home to work to on the go, and in all types of entertainment experiences, from Pay-TV to OTT, managing content and connections in a way that is smart, immersive and personal is precisely what our innovations do.
ExhibitorBooth: 507
Mirabilis Design provides chiplet and UCIe system-level IP, modeling templates and architecture-trade-off platforms. The system-level IP contains timing, all the settings as parameters, power, thermal and protocol functionality. The modeling templates contains various AI, parallel processors, GPU, memory, analog and IO chiplet models that can be used to test, analyze, optimize and validate the system system. Mirabilis Design has over 80 customers in semiconductors, embedded systems and software. The company is based in Santa Clara, CA, USA and has development and support centers in India, Germany, South Korea, China and Japan.
ExhibitorBooth: 320
FormFactor is a leading provider of essential test and measurement technologies along the full IC life cycle – from characterization, modeling, reliability, and design debug, to qualification and production test. FormFactor’s leading-edge probe stations, probes, probe cards, advanced thermal subsystems, quantum cryogenic systems, and integrated systems deliver precision accuracy and superior performance both in the lab and during production manufacturing of high-speed and high-density semiconductor chips. Visit www.formfactor.com.
Collateral from FormFactor
Probe Cards for Every Element in Advanced Packages
Description: FormFactor is the only test and measurement company that provides solutions to help customers verify device performance and yield at every stage of system integration.
Visit Link with the details
Wafer-Level Testing for AI Processors
Description: Artificial Intelligence (AI), once confined to science fiction, now impacts daily life. From voice assistants and autonomous vehicles to healthcare diagnostics and supply chain optimization, AI is reshaping industries.
Visit Link with the details
ExhibitorBooth: 219
We address fundamental problems in distributed systems using protocols, data structures and algorithms inspired by Quantum Information Theory. Our market is next generation platforms for secure, reliable, cutting edge, distributed computing. We provide 5G network slices with a fundamentally more secure graph confinement architecture. Initial use-cases include Digital Twins, Multiplayer Games and Web 3.0.
ExhibitorBooth: 511
SmartSoc Solutions is a global leader in advanced chip design and technology, with a dedicated team of over 1,300 professionals. We have a strong presence across seven countries and operate five cutting-edge design centers in India. As a trusted turnkey provider for chip design, we specialize in delivering high-quality solutions across leading process nodes, including 2nm, 3nm, and 4nm technologies. We are proud to be a TSMC Design Chain Alliance (DCA) member and a direct foundry partner, ensuring our customers experience seamless tape-out success, on time and with first-time quality. For more details, visit our website: https://www.smartsocs.com/
ExhibitorBooth: 317
Credo's mission is to deliver high-speed solutions that break bandwidth barriers on every wired connection in the data infrastructure market. We provide innovative, secure, high-speed connectivity solutions that deliver improved power and cost efficiency as data rates and corresponding bandwidth requirements increase exponentially throughout the data infrastructure market.
ExhibitorBooth: 420
We go beyond design limits to offer you cutting edge semiconductor and electronics assembly, testing and IC package design including LGA, BGA and 2D & 3D customized solutions. Featuring fully supported automated processes, MW microelectronics assemblies, System-in-Package (SiP) & heterogeneous integration. We also offer high level expertise in organic substrate design and manufacturing based on advanced materials, subtractive and mSAP processes.
ExhibitorBooth: 217
With a membership of nearly 200 engineers, the IEEE Consultants' Network of Silicon Valley (CNSV) is a premier source of consulting talent for projects in hardware, software, chiplets, AI, and other technical areas. Member skill profiles can be searched by visitors to our website at www.CaliforniaConsultants.org, and member profiles can also be found by Google searches. CNSV sponsors monthly hybrid meetings that cover technical topics as well as issues related to consulting. IEEE-CNSV membership is a tremendous value, and IEEE membership is not required.
ExhibitorBooth: 513
Sofics is a foundry independent semiconductor IP provider that has supported 100+ companies worldwide with specialty on-chip ESD protection and unique I/O circuits. The IP designs are integrated into more than 5000 IC designs across many different applications. The Sofics technology is silicon proven on more than 50 different processes from leading foundries like TSMC, Samsung Foundry, GlobalFoundries and several others. Sofics on-chip ESD protections, Analog & Digital IO can enable higher performance, higher ESD robustness, reduce design time and costs.
ExhibitorSponsor
Digitalization quickly accelerates energy consumption and is projected to stand for more than one-fifth of global electricity demand by 2030. Maximizing performance per watt in servers and smart devices is critical to breaking the trend.
ExhibitorBooth: 216
Adaptivity is an indispensable property for the connected world of tomorrow. Intelligent electronic components can detect, evaluate and react accordingly to changes in their environment or within themselves. As a partner to industry, Fraunhofer IIS/EAS develops key technologies for such adaptive systems and offers you innovative and robust solutions.
ExhibitorBooth: 323
At QuickLogic, we fuel chiplet innovation with eFPGA IP, discrete FPGAs, and FPGA SoCs. In partnership with YorChip, we deliver a known good Chiplet storefront and Universal PHY™ to ensure reliable, fully compatible chiplets across diverse packaging options. From a flexible platform and robust ecosystem to cost-effective solutions, we simplify the path to seamless chiplet communication.
Collateral from QuickLogic
QuickLogic - Your One-Stop Shop for Chiplet Innovation
Description: Attendees are invited to Booth #323 to discover how QuickLogic's FPGA, eFPGA, and IP solutions provide the building blocks for creating low-power, flexible chiplets.
Visit Link with the details
BronzeSponsor
Eliyan has developed a breakthrough die-to-die interconnect architecture that delivers new levels of high bandwidth and low latency at best-in-class area and power efficiency. Its NuLink™PHY for die-to-die interconnect, delivers performance, power, and area efficiency that no other technology can offer, on both silicon and organic substrates. Eliyan offers both chiplet and hard IP products that enable high-performance and efficient integration of chiplets. It solutions are compliant with UCIe and BoW specfications
BronzeBooth: 421
Alchip Technologies, established in 2003 and based in Taipei, Taiwan, is a global leader in providing silicon, design, and production services for companies developing complex ASICs and SoCs. Renowned for high-performance AI ASICs, Alchip specializes in advanced 2.5D/3DIC CoWoS, Chiplet design and manufacturing management, ensuring faster time-to-market and cost-effective solutions. Alchip is listed on the Taiwan Stock Exchange (TWSE: 3661). “
BronzeBooth: 417
cyberTECHNOLOGIES is a world leading manufacturer of high-end 3D metrology systems for industrial and scientific applications. The metrology systems range from compact table-top models for R&D to advanced automated multi-sensor systems and fully automated high-throughput metrology cells with sample handling for full-scale production. cyberTECHNOLOGIES' high-resolution, non-contact 3D measurement systems are used across a wide range of applications, including measuring position, rotation, and height of chiplets, as well as flatness (warp, bow), thickness (TTV/LTV), thin film, roughness, coplanarity, die attach, 3D wirebond, lasermark, pins, pads, pillars, and many others. For more information visit: cybertechnologies.com
BronzeBooth: 314
MRSI Systems (a part of Mycronic Group) is the leading manufacturer of fully automated, high-speed, high-precision and flexible eutectic and epoxy die bonding systems. We offer solutions for research and development, low-to-medium volume production, and high-volume manufacturing of photonic devices such as lasers, detectors, modulators, AOCs, WDM/EML TO-Cans, Optical transceivers, LiDAR, VR/AR, sensors, silicon photonics, co-packaging optics, 3-D hybrid packaging, and optical imaging products. With 40+ years of industry experience and our worldwide local technical support team, we provide the most effective systems and assembly solutions for all packaging levels including chip-on-wafer (CoW), chip-on-carrier (CoC), PCB, and gold-box packaging.
Collateral from MRSI Mycronic
MRSI introduces innovative MRSI-A-L Active Aligner
Description: This latest innovation marks a significant expansion of MRSI's offerings beyond its established expertise in die bonding and precision epoxy dispensing solutions, now encompassing fiber and lens attachment for advanced optical packaging needs.
Visit Link with the details
MRSI Mycronic introduces next generation high precision epoxy dispenser
Description: This latest innovation is a significant improvement in MRSI’s epoxy dispensing solutions focusing on speed, accuracy, safety, and ergonomics.
Visit Link with the details
Die bonding solutions
Description: Learn more about our die bonding, active alignment and dispensing solutions.
Visit Link with the details
BronzeSponsor
Boston Consulting Group partners with leaders in business and society to tackle their most important challenges and capture their greatest opportunities. BCG was the pioneer in business strategy when it was founded in 1963. Today, we work closely with clients to embrace a transformational approach aimed at benefiting all stakeholders—empowering organizations to grow, build sustainable competitive advantage, and drive positive societal impact. We help tech companies pioneer new business models, enable change within—and through—their organizations, and sustain performance in a competitive market. But we also help them rediscover, and reenergize, their purpose. Specifically in semiconductors, where we have a special focus.
BronzeBooth: 319
Menta, a privately held company based in Sophia-Antipolis (France), is a proven pioneer of eFPGAs for ASIC and SoC designers seeking speed, accuracy, performance and efficiency. eFPGA’s adaptable architecture, based on design-adaptive standard cells-based and a state-of-the-art tool set, provides the highest degree of design customization, best-in-class testability, and fastest time-to-volume for SoC design at any foundry. As the leader in eFPGA IPs, Menta embodies excellence in innovation, offering customizable solutions of exceptional reliability. Our commitment to responsible progress, combining performance and sustainability, guides every step we take.
BronzeBooth: 316
AMT provides test wafers that include cutting-edge technology for research and development of CMP, deposition, etching, and TSV. We change the type and thickness of the film of wafers on demand.
BronzeBooth: 410
Chiplet.US was formed as a cohesive alliance of companies that collectively cover the supply chain required for the seamless development and manufacturing of heterogeneous integrated circuits (ICs). The alliance's primary objectives are to curate a comprehensive catalog of chiplets, design kits, and manufacturing processes, to deliver an all-encompassing solution and service to clients, and to advocate for chiplet technology, including interposer and device standards.
Collateral from Chiplet.US
Palo Alto Electron
Description: Palo Alto Electron specialized in creating cutting-edge electronic ICs and systems by utilizing advanced packaging techniques and chiplets.
Visit Link with the details
Anemoi Software
Description: Anemoi Software offers a wide range of simulation options, from steady-state to long-duration transient simulations, and from uniform power to highly-detailed power density maps.
Visit Link with the details
Thrace Systems
Description: Thrace Systems offers variety of 3D-IC exploration and analysis options, from detailed chiplet power modeling using IEEE 2416, die-to-die interface and bump planing, through substrate netlist generation, to full system power scenario exploration.
Visit Link with the details
Hyperion Technologies
Description: Hyperion is an end-to-end microelectronics packaging solution provider, offering direct-to-end user service
Visit Link with the details
Promex
Description: For close to 50 years, Promex has led the industry as a top-tier contract manufacturer specializing in intricate microelectronics component assembly.
Visit Link with the details
Claros
Description: Claros is powering the next generation of technological innovation to transform data center infrastructure around the globe
Visit Link with the details
BronzeBooth: 501
Siemens EDA - The pace of innovation in electronics is constantly accelerating. To enable customers to deliver life-changing innovations faster and become market leaders, we are committed to delivering the world’s most comprehensive portfolio of electronic design automation (EDA) software, hardware, and services.
BronzeBooth: 414
VeriSilicon Microelectronics is committed to providing customers with platform-based, all-round, one-stop custom silicon services and semiconductor IP licensing services leveraging its in-house semiconductor IP. Under the unique "Silicon Platform as a Service" (SiPaaS) business model, depending on the comprehensive IP portfolio, VeriSilicon can create silicon products from definition to testing and packaging in a short period of time, and provides high performance and cost-efficient semiconductor alternative products for fabless, IDM, system vendors (OEM/ODM), large internet companies and cloud service providers, etc.
BronzeBooth: 311
Blue Cheetah provides highly optimized, rapidly customized die-to-die interconnect IP. Our chiplet interconnect IP solutions are configurable for packaging type, data rate, I/O configuration, process, and more. They are optimized to meet your application's power, performance, area, and latency requirements. Our BlueLynx technology is based on the Bunch of Wires (BoW) open die-to-die (D2D) interface from the Open Compute Project (OCP) and supports Universal Chiplet Interconnect Express (UCIe). Our solutions support both standard organic chip packaging and advanced packaging technology.
BronzeSponsor
Amkor Technology, Inc. is one of the world’s largest providers of outsourced semiconductor packaging and test services and a strategic manufacturing partner to leading semiconductor companies, foundries and electronics OEMs. Founded in 1968, Amkor’s operational base includes production facilities, product development centers and sales and support offices in Asia, Europe and the USA.
BronzeBooth: 415
For more than 50 years, Ansys engineering simulation software has enabled innovators across industries to push boundaries using the predictive power of simulation. The next great leaps in human advancement will be powered by Ansys.
Booth: 322
Numem is leading the way in advancing memory via its NuRAM and SmartMem SOC subsystem IPs and Memory SOC Chip/Chiplets. Numem enables high-performance MRAM with a significantly lower power profile than SRAM via the precise management of MRAM’s non-volatile capabilities.
Sponsor
Area of business : Manufacture and sales of semiconductor devices Address of headquarters : 2091, Gyeongchung-daero, Bubal-eup, Icheon-si,Gyeonggi-do, Korea Products and services: Memory semiconductor (DRAM, NAND Flash, MCP, etc.), System semiconductor (CIS, etc.)